Generating VHDL-AMS models of digital-to-analogue converters from MATLAB®/SIMULINK®

Nenhuma Miniatura disponível

Data

2007-11-27

Autores

Da Silva, Alexandre Cesar Rodrigues [UNESP]
Grout, Ian
Ryan, Jeffrey
O'Shea, Thomas

Título da Revista

ISSN da Revista

Título de Volume

Editor

Resumo

Today, the trend within the electronics industry is for the use of rapid and advanced simulation methodologies in association with synthesis toolsets. This paper presents an approach developed to support mixed-signal circuit design and analysis. The methodology proposed shows a novel approach to the problem of developing behvioural model descriptions of mixed-signal circuit topologies, by construction of a set of subsystems, that supports the automated mapping of MATLAB®/SIMULINK® models to structural VHDL-AMS descriptions. The tool developed, named MS 2SV, reads a SIMULINK® model file and translates it to a structural VHDL-AMS code. It also creates the file structure required to simulate the translated model in the System Vision™. To validate the methodology and the developed program, the DAC08, AD7524 and AD5450 data converters were studied and initially modelled in MATLAB®/ SIMULINK®. The VHDL-AMS code generated automatically by MS 2SV, (MATLAB®/SIMULINK® to System Vision™), was then simulated in the System Vision™. The simulation results show that the proposed approach, which is based on VHDL-AMS descriptions of the original model library elements, allows for the behavioural level simulation of complex mixed-signal circuits.

Descrição

Palavras-chave

Computer simulation, Electronics industry, Mathematical models, MATLAB, Networks (circuits), Topology, Mixed signal circuit, Digital to analog conversion

Como citar

EuroSime 2007: International Conference on Thermal, Mechanical and Multi-Physics Simulation Experiments in Microelectronics and Micro-Systems, 2007.